diff --git a/hello_world/Cargo.lock b/hello_world/Cargo.lock new file mode 100644 index 0000000..3496d5a --- /dev/null +++ b/hello_world/Cargo.lock @@ -0,0 +1,7 @@ +# This file is automatically @generated by Cargo. +# It is not intended for manual editing. +version = 3 + +[[package]] +name = "hello_world" +version = "0.1.0" diff --git a/hello_world/Cargo.toml b/hello_world/Cargo.toml new file mode 100644 index 0000000..624cb06 --- /dev/null +++ b/hello_world/Cargo.toml @@ -0,0 +1,8 @@ +[package] +name = "hello_world" +version = "0.1.0" +edition = "2021" + +# See more keys and their definitions at https://doc.rust-lang.org/cargo/reference/manifest.html + +[dependencies] diff --git a/hello_world/src/.main.rs.swp b/hello_world/src/.main.rs.swp new file mode 100644 index 0000000..c7e39d6 Binary files /dev/null and b/hello_world/src/.main.rs.swp differ diff --git a/hello_world/src/main.rs b/hello_world/src/main.rs new file mode 100644 index 0000000..a111f1c --- /dev/null +++ b/hello_world/src/main.rs @@ -0,0 +1,26 @@ +//!Fichier principal. +///Fonction pricipale. +fn main() +{ + println!("Hello, world!"); + let x = 5 + 90 + 5; + println!("Is `x` 10 or 100?\nx = {}", x); + println!("{} of {:b} people know binary, the other half doesn't", 1, 2); + println!("My name is {0}, {1} {0}.", "Bond", "James"); + ///Un complexe. + #[derive(Debug)] + struct Complex + { + real: f64, + image: f64 + } + impl std::fmt::Display for Complex + { + fn fmt(&self, f: &mut std::fmt::Formatter) -> std::fmt::Result + { + write!(f, "{0} + {1}i", self.real, self.image) + } + } + println!("Display: {0}", Complex{real: 3.3, image: 7.2}); + println!("Debug: {:?}", Complex{real: 3.3, image: 7.2}); +} diff --git a/hello_world/target/.rustc_info.json b/hello_world/target/.rustc_info.json new file mode 100644 index 0000000..e020d38 --- /dev/null +++ b/hello_world/target/.rustc_info.json @@ -0,0 +1 @@ +{"rustc_fingerprint":6516354288763731336,"outputs":{"17598535894874457435":{"success":true,"status":"","code":0,"stdout":"rustc 1.56.0 (09c42c458 2021-10-18)\nbinary: rustc\ncommit-hash: 09c42c45858d5f3aedfa670698275303a3d19afa\ncommit-date: 2021-10-18\nhost: x86_64-unknown-linux-gnu\nrelease: 1.56.0\nLLVM version: 13.0.0\n","stderr":""},"2797684049618456168":{"success":false,"status":"exit status: 1","code":1,"stdout":"","stderr":"error: `-Csplit-debuginfo` is unstable on this platform\n\n"},"931469667778813386":{"success":true,"status":"","code":0,"stdout":"___\nlib___.rlib\nlib___.so\nlib___.so\nlib___.a\nlib___.so\n/home/fh/.rustup/toolchains/stable-x86_64-unknown-linux-gnu\ndebug_assertions\nproc_macro\ntarget_arch=\"x86_64\"\ntarget_endian=\"little\"\ntarget_env=\"gnu\"\ntarget_family=\"unix\"\ntarget_feature=\"fxsr\"\ntarget_feature=\"sse\"\ntarget_feature=\"sse2\"\ntarget_os=\"linux\"\ntarget_pointer_width=\"64\"\ntarget_vendor=\"unknown\"\nunix\n","stderr":""},"15537503139010883884":{"success":true,"status":"","code":0,"stdout":"___\nlib___.rlib\nlib___.so\nlib___.so\nlib___.a\nlib___.so\n","stderr":""}},"successes":{}} \ No newline at end of file diff --git a/hello_world/target/CACHEDIR.TAG b/hello_world/target/CACHEDIR.TAG new file mode 100644 index 0000000..20d7c31 --- /dev/null +++ b/hello_world/target/CACHEDIR.TAG @@ -0,0 +1,3 @@ +Signature: 8a477f597d28d172789f06886806bc55 +# This file is a cache directory tag created by cargo. +# For information about cache directory tags see https://bford.info/cachedir/ diff --git a/hello_world/target/debug/.cargo-lock b/hello_world/target/debug/.cargo-lock new file mode 100644 index 0000000..e69de29 diff --git a/hello_world/target/debug/.fingerprint/hello_world-57f66fa325618faf/bin-hello_world b/hello_world/target/debug/.fingerprint/hello_world-57f66fa325618faf/bin-hello_world new file mode 100644 index 0000000..9f8c460 --- /dev/null +++ b/hello_world/target/debug/.fingerprint/hello_world-57f66fa325618faf/bin-hello_world @@ -0,0 +1 @@ +fb97a24f3536a015 \ No newline at end of file diff --git a/hello_world/target/debug/.fingerprint/hello_world-57f66fa325618faf/bin-hello_world.json b/hello_world/target/debug/.fingerprint/hello_world-57f66fa325618faf/bin-hello_world.json new file mode 100644 index 0000000..9c1aa4c --- /dev/null +++ b/hello_world/target/debug/.fingerprint/hello_world-57f66fa325618faf/bin-hello_world.json @@ -0,0 +1 @@ +{"rustc":9905615409658916977,"features":"[]","target":6051735750501971553,"profile":9251013656241001069,"path":1036222786711178230,"deps":[],"local":[{"CheckDepInfo":{"dep_info":"debug/.fingerprint/hello_world-57f66fa325618faf/dep-bin-hello_world"}}],"rustflags":[],"metadata":7797948686568424061,"config":2202906307356721367,"compile_kind":0} \ No newline at end of file diff --git a/hello_world/target/debug/.fingerprint/hello_world-57f66fa325618faf/dep-bin-hello_world b/hello_world/target/debug/.fingerprint/hello_world-57f66fa325618faf/dep-bin-hello_world new file mode 100644 index 0000000..5fdf103 Binary files /dev/null and b/hello_world/target/debug/.fingerprint/hello_world-57f66fa325618faf/dep-bin-hello_world differ diff --git a/hello_world/target/debug/.fingerprint/hello_world-57f66fa325618faf/invoked.timestamp b/hello_world/target/debug/.fingerprint/hello_world-57f66fa325618faf/invoked.timestamp new file mode 100644 index 0000000..e00328d --- /dev/null +++ b/hello_world/target/debug/.fingerprint/hello_world-57f66fa325618faf/invoked.timestamp @@ -0,0 +1 @@ +This file has an mtime of when this was started. \ No newline at end of file diff --git a/hello_world/target/debug/deps/hello_world-57f66fa325618faf b/hello_world/target/debug/deps/hello_world-57f66fa325618faf new file mode 100755 index 0000000..e2668ff Binary files /dev/null and b/hello_world/target/debug/deps/hello_world-57f66fa325618faf differ diff --git a/hello_world/target/debug/deps/hello_world-57f66fa325618faf.d b/hello_world/target/debug/deps/hello_world-57f66fa325618faf.d new file mode 100644 index 0000000..e63b2a5 --- /dev/null +++ b/hello_world/target/debug/deps/hello_world-57f66fa325618faf.d @@ -0,0 +1,5 @@ +/home/fh/Git/Alnotz/Bazar/hello_world/target/debug/deps/hello_world-57f66fa325618faf: src/main.rs + +/home/fh/Git/Alnotz/Bazar/hello_world/target/debug/deps/hello_world-57f66fa325618faf.d: src/main.rs + +src/main.rs: diff --git a/hello_world/target/debug/hello_world b/hello_world/target/debug/hello_world new file mode 100755 index 0000000..e2668ff Binary files /dev/null and b/hello_world/target/debug/hello_world differ diff --git a/hello_world/target/debug/hello_world.d b/hello_world/target/debug/hello_world.d new file mode 100644 index 0000000..f34db79 --- /dev/null +++ b/hello_world/target/debug/hello_world.d @@ -0,0 +1 @@ +/home/fh/Git/Alnotz/Bazar/hello_world/target/debug/hello_world: /home/fh/Git/Alnotz/Bazar/hello_world/src/main.rs diff --git a/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/1ugtz2jzoc4e80pc.o b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/1ugtz2jzoc4e80pc.o new file mode 100644 index 0000000..0b96bae Binary files /dev/null and b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/1ugtz2jzoc4e80pc.o differ diff --git a/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/1vrve4v7tdewkma5.o b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/1vrve4v7tdewkma5.o new file mode 100644 index 0000000..4fc161d Binary files /dev/null and b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/1vrve4v7tdewkma5.o differ diff --git a/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/22echwcw65oc1vud.o b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/22echwcw65oc1vud.o new file mode 100644 index 0000000..0ee43d9 Binary files /dev/null and b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/22echwcw65oc1vud.o differ diff --git a/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/2qvrszvrenda1u24.o b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/2qvrszvrenda1u24.o new file mode 100644 index 0000000..d2ecf41 Binary files /dev/null and b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/2qvrszvrenda1u24.o differ diff --git a/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/34u1zba3gqlq5jdj.o b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/34u1zba3gqlq5jdj.o new file mode 100644 index 0000000..6294b76 Binary files /dev/null and b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/34u1zba3gqlq5jdj.o differ diff --git a/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/3go3pud6b7s8ac2t.o b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/3go3pud6b7s8ac2t.o new file mode 100644 index 0000000..cc6eeb3 Binary files /dev/null and b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/3go3pud6b7s8ac2t.o differ diff --git a/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/41imcbdxtr4h1tlz.o b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/41imcbdxtr4h1tlz.o new file mode 100644 index 0000000..0739a4a Binary files /dev/null and b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/41imcbdxtr4h1tlz.o differ diff --git a/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/4mf750ru6esuk5w8.o b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/4mf750ru6esuk5w8.o new file mode 100644 index 0000000..0a87408 Binary files /dev/null and b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/4mf750ru6esuk5w8.o differ diff --git a/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/dep-graph.bin b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/dep-graph.bin new file mode 100644 index 0000000..c736005 Binary files /dev/null and b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/dep-graph.bin differ diff --git a/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/dj9gym64q4fm0h1.o b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/dj9gym64q4fm0h1.o new file mode 100644 index 0000000..a31db6d Binary files /dev/null and b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/dj9gym64q4fm0h1.o differ diff --git a/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/kq1l8j5r88fu08b.o b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/kq1l8j5r88fu08b.o new file mode 100644 index 0000000..2e83b2a Binary files /dev/null and b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/kq1l8j5r88fu08b.o differ diff --git a/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/query-cache.bin b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/query-cache.bin new file mode 100644 index 0000000..4e76aad Binary files /dev/null and b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/query-cache.bin differ diff --git a/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/work-products.bin b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/work-products.bin new file mode 100644 index 0000000..1005456 Binary files /dev/null and b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/work-products.bin differ diff --git a/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/xivtppz2ti4my3r.o b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/xivtppz2ti4my3r.o new file mode 100644 index 0000000..41aa878 Binary files /dev/null and b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk-1f2bn9x6ln3gn/xivtppz2ti4my3r.o differ diff --git a/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk.lock b/hello_world/target/debug/incremental/hello_world-1ndiwk559mm1e/s-g3pr6xs2mu-1a3zthk.lock new file mode 100755 index 0000000..e69de29